EDICIÓN CONTINUAVOLUMEN 46 AÑO 2023
ppi 201502ZU465
Esta publicación científica en formato digital e
continuidad de la revista impres
ISSN 0254 -0770 / e-ISSN 2477-9377 / Depósito legal pp 197802ZU38
Dr. Ignacio Rodríguez Iturbe - Zuliano ilustr
Ingeniero civil, hidrólogo, profesor universitario
doctor honoris causa de la Universidad del Zulia
epónimo de la orden al mérito Dr. Ignacio Rodríguez Iturbe
ciudadano ejemplar con numerosos premios nacionales e internacionales.
Una Revista Internacional Arbitrad
que está indizada en las publicacione
de referencia y comentarios:
UNIVERSIDAD DEL ZULIA
REDALY
REDI
SCIEL
DRJ
INDEX COPERNICUS INTERNATIONA
LATINDE
DOA
REVENCY
CHEMICAL ABSTRAC
MIA
AEROSPACE DATABAS
CIVIL ENGINEERING ABTRACT
METADE
COMMUNICATION ABSTRACT
ZENTRALBLATT MATH, ZBMAT
ACTUALIDAD IBEROAMERICAN
BIBLA
PERIODICA
DE LA FACULTAD DE INGENIERÍA
REVISTA TÉCNICA
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
Biomimética Aplicada a Modelos de Sistemas de Energías
Renovables Reconfigurables Basados en Estructuras
Autosimilares
Cecilia Esperanza Sandoval-Ruiz
Facultad de Ingeniería, Universidad de Carabobo, Valencia, Venezuela.
*Autor de correspondencia:cesandova@gmail.com
https://doi.org/10.22209/rt.v46a02
Recepción: 29 de junio 2022 | Aceptación: 18 de diciembre de 2022 | Publicación: 01 de enero de 2023
Resumen
Con base en la creciente demanda de energía eléctrica y considerando la dinámica y estructura fractal
presente en la naturaleza, en esta investigación se desarrolló un modelo matemático generalizado sobre redes
neuronales concatenadas para soportar sistemas reconfigurables de energía renovable, considerando criterios de
optimización basados en inteligencia biomimética. El método partió de la reinterpretación del modelo físico de
los convertidores de energía renovable, desde bloques discretos de energía y retroalimentación lineal, hasta la
descripción de circuitos de composición autosimilar. Los resultados incluyen un conjunto de biomodelos de
referencia basados en la observación científica de la dinámica natural de la región y la correlación de los
mecanismos para describir el comportamiento matemático del convertidor. En el caso del convertidor eólico se
obtuvo un modelo optimizado de tres capas con un error medio de 0,0019. Este estudio permitió concluir sobre
una técnica de optimización de los coeficientes del modelo de energías renovables a partir de patrones
biomiméticos, aplicando descenso del gradiente para minimizar el impacto ambiental de la tecnología aplicada,
lográndose coeficientes adaptados a los requerimientos de eficiencia y sostenibilidad con relación a las
potencialidades de la región, por seguimiento del comportamiento evolutivo de la flora, fauna nativa y
condiciones ambientales locales.
Palabras clave: biomimética; ERNC reconfigurables; F-ANN; sostenibilidad; VHDL.
Biomimetics Applied to Models of Reconfigurable
Renewable Energy Systems Based on Self-Similar
Structures
Abstract
Based on the growing demand for electrical energy and considering the dynamics and fractal structure
present in nature, in this research a generalized mathematical model on concatenated neural networks was
developed to support reconfigurable renewable energy systems, considering optimization criteria based on
biomimetic intelligence. The method started from the reinterpretation of the physical model of renewable energy
converters, from discrete power blocks and linear feedback, to the description of self-similar composition
circuits. The results include a set of reference biomodels based on scientific observation of the natural dynamics
of the region and the correlation of mechanisms to describe the mathematical behavior of the converter. In the
case of the wind converter, an optimized three-layer model was obtained with a mean error of 0.0019. This study
allowed us to conclude on a technique for optimizing the coefficients of the renewable energy model from
biomimetic patterns, applying gradient descent to minimize the environmental impact of the applied technology,
achieving coefficients adapted to the requirements of efficiency and sustainability in relation to the potential of
the region, by monitoring the evolutionary behavior of native flora, fauna and local environmental conditions.
Keywords: biomimetics; F-ANN; reconfigurable NCRE; sustainability; VHDL.
Biomimética aplicada al modelado de Sistemas ERNC Reconfigurables 2
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
Biomimética Aplicada a Modelos de Sistemas de Energia
Renovável Reconfiguráveis Baseados em Estruturas Auto-
Similares
Resumo
Com base na crescente demanda por energia elétrica e considerando a dinâmica e a estrutura fractal presentes na
natureza, nesta pesquisa foi desenvolvido um modelo matemático generalizado em redes neurais concatenadas
para dar suporte a sistemas reconfiguráveis de energia renovável, considerando critérios de otimização baseados
em inteligência biomimética. O método partiu da reinterpretação do modelo físico de conversores de energia
renovável, de blocos de potência discretos e realimentação linear, até a descrição de circuitos de composição
autossimilar. Os resultados incluem um conjunto de biomodelos de referência baseados na observação científica
da dinâmica natural da região e na correlação de mecanismos para descrever o comportamento matemático do
conversor. No caso do conversor eólico, obteve-se um modelo otimizado de três camadas com erro médio de
0,0019. Este estudo permitiu concluir sobre uma técnica de otimização dos coeficientes do modelo de energia
renovável a partir de padrões biomiméticos, aplicando gradiente descendente para minimizar o impacto
ambiental da tecnologia aplicada, obtendo coeficientes adaptados aos requisitos de eficiência e sustentabilidade
em relação ao potencial da região, por meio do monitoramento do comportamento evolutivo da flora e fauna
nativas e das condições ambientais locais.
Palavras-chave: biomimética; ERNC reconfigurável; F-ANN; sustentabilidade; VHDL.
Introducción
La biomimética es una ciencia aplicable en el diseño de sistemas de energías renovables, a fin de
mejorar su eficiencia y sostenibilidad (Ahmed et al., 2017), en este trabajo se propuso la identificación de
mecanismos fractales inspirados en la naturaleza, con el objetivo de aplicar técnicas de inteligencia artificial,
aprendizaje automático y optimización neuronal, para el reconocimiento de patrones en biomodelos. Esto
permit establecer una matriz de potencialidades para el desarrollo endógeno, con relación a oportunidades en
tecnología sostenible para América Latina, integrando conceptos de biotecnología inspirados en estructuras
geométricas eficientes (Blanco et al., 2021). A partir de dos aspectos del espectro biomimético: 1) bioinspirado,
a nivel del diseño, 2) mimetismo, un enfoque basado en componentes compatibles basados en órganos y
mecanismos celulares (Chahl et al., 2021), se considera la replicación mediante la inteligencia artificial, así
como la formulación de materiales programables de modelo circular para conversión de energía, filtrado y
autoregeneración, a partir del estudio de la capacidad de la naturaleza de ajustar propiedades físicas, utilizando
un conjunto limitado de bloques de generación estructural, tal como ocurre con las semillas, que contiene un
código para asimilar los recursos disponibles y sintetizar estructuras funcionales (Sandoval-Ruiz, 2021c).
Dado que los sistemas de energías se caracterizan por ser no lineales y de alta complejidad, su
modelado neuronal puede ser abordado como un conjunto de etapas (capas) y circuitos autosimilares en cascada
(de pesos sinápticos concatenados), para definir las señales de configuración. Uno de los retos consiste en
establecer los parámetros óptimos sobre un modelo generalizado, en tal sentido; se propone la observación de los
mecanismos naturales, para correlacionar las etapas y establecer los objetivos de optimización, a través de los
coeficientes adaptativos (Sandoval-Ruiz, 2021a). La migración actual hacia las energías renovables aporta
soluciones en materia energética y control de emisiones ambientales. Así mismo, aparecen nuevos retos, desde la
configuración: Squirrel Cage Rotor, helicoidal Gorlov, Savonius óptima de turbinas eólicas de flujo axial y flujo
radial (Marturet et al., 2022), hasta la propuesta de nuevas tecnologías. Todo esto enmarcado en el análisis de su
impacto ambiental, como es la modificación de patrones de flujo de energía (efectos climáticos), afectación de
fauna local (McClure et al., 2021), intervención de ecosistemas, ruidos y vibraciones, además de los residuos por
reemplazo tecnológico (Engie, 2022).
Para darle solución a estos efectos de los sistemas de ERNC (energías renovables no convencionales),
se plantea: observación científica no invasiva sin intervenir la dinámica de los ecosistemas; estudio, aprendizaje
Sandoval-Ruiz, C. 3
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
y modelado del comportamiento evolutivo de biomodelos de la fauna y flora nativa; reconocimiento de patrones;
caracterización de modelos por correlación entre los mecanismos biomiméticos y las variables físicas:
mecánicas, elastoméricas, electromagnéticas, cuánticas, fluidodinámicas (Bordachar, 2020); a lo que se ha
decidido incorporar el estudio de la dinámica con los recursos energéticos de la región; tecnología e
infraestructura instalada, a través de un componente de inteligencia artificial para la configuración. De esta
manera, en la presente investigación se establece como objetivo desarrollar un modelo matemático generalizado
sobre redes neuronales concatenadas para soportar sistemas reconfigurables de energía renovable, considerando
criterios de optimización basados en inteligencia biomimética para la adaptación de los arreglos de captadores
(MIT, 2022), aplicando algoritmos de optimización neuronal para la configuración de parámetros del sistema
físico sin modificación del hardware instalado.
Correlación de modelos biomiméticos
En el diseño conceptual se propone considerar tres ejes fundamentales, basados en los niveles de
biomimética correspondientes a forma geométrica, proceso y sistema (Camayo et al., 2019): 1) mecanismo con
capacidad adaptativa inspirado en la naturaleza, 2) modelado neuronal para el reconocimiento de patrones y
configuración óptima del hardware (HW) a partir de biomodelos (Figura 1) y 3) código de soporte basado en
esquemas autosimilares, que permita la generación dinámica de las etapas. Esto con el objetivo de lograr una
simplificación por diseño, configuración de estructuras, ecuación para mecanizado y tejido de biomateriales por
matriz de punto-vacío, aplicando para ello ntesis guiada por andamiaje, modulación de luz y dosificación de
flujo de biomateriales.
Figura 1. Modelo fractal biomimético correlacionado para sistemas de energías renovables. CNN:
Convolutional Neural Network; ReLU: Rectified Linear Unit; FNN: Fractal Neural Network; RNN: Recurrent
Neural Network-hardware; w1, w2, w3: pesos sinápticos del modelo neuronal (Bordachar, 2020; Sandoval-
Ruiz, 2020a).
En un sistema adaptativo con capacidad de aprendizaje automático, se requiere un soporte de sistema
reconfigurable del convertidor, bajo un enfoque de matriz programable considerando técnicas matemáticas, para:
1) linealización fractal, 2) procesamiento paralelo, 3) tratamiento concurrente de variables de estado, 4)
algoritmos adaptativos y 5) alta capacidad de cómputo (Sandoval-Ruiz, 2019), para lo que se planteó tecnologías
para las etapas de conversión (Tabla 1), a partir de asociación con biomodelos, por técnicas de aprendizaje
profundo basadas en redes neuronales convolucionales (Serrano et al., 2018).
Biomimética aplicada al modelado de Sistemas ERNC Reconfigurables 4
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
Tabla 1. Revisión de nuevas tecnologías para ERNC reconfigurables.
Tecnología
Etapa de conversión
Etapa de optimización
Fotovoltaica
Matriz de materiales fotoquímicos (adaptativa) - capas
Formulación dinámica de compuestos
Eólica
Biomimética (Bordachar, 2020) y geometría fractal en
álabes (Blanco et al., 2021; Nawar, 2021; Yazici, 2021)
Configuración dinámica en VHDL
(Sandoval-Ruiz, 2020b; c)
Arreglos tándem y polarización selectiva de radiación solar incidente (Biswas, 2021).
Modelado dinámico del convertidor de ERNC (Sandoval-Ruiz, 2021a; 2022a). Las tecnologías de una matriz
flexible permiten ampliar la capacidad de reconfiguración de los sistemas de ERNC, haciendo estos más
sostenibles en esquemas cíclicos de reaprovechamiento de etapas, códigos y componentes.
Lente eólico. Valorización de espacio vacío. Recirculación de flujo eólico.
Modulación de patrón de flujo de energía por control electromagnético.
Arquitectura planetaria, definida por un núcleo o eje y órbitas de captación fractal como cristales de nieve.
Configuración fractal (Sandoval-Ruiz, 2020a). Distribución Fibonacci (Yazici, 2021) del arreglo de elementos,
con geometría y paso variable, aplicados a captadores: álabes o concentradores solares.
Configuración de densidad por coeficientes magneto elástico de elementos finitos. Control estructural del
biomecanismo cinético (inspirado en aves y polinizadores).
Formulación geométrica de materiales, basada en inteligencia biomimética. Nanofilamentos de captación,
transmisión y conversión de energía híbrida.
VHDL: Very High Speed Integrates Circuit Hardware Description Language, ERNC: energías renovables no
convencionales.
En función de las etapas mencionadas, se define un modelado neuronal fractal para optimización
biomimética (aprendizaje de la evolución de los modelos biológicos) de accionamiento de los mecanismos,
aplicando técnicas de configuración sobre una matriz de hardware de potencia y la selección de una arquitectura
reinterpretada del sistema de conversión en los diversos niveles de abstracción del sistema de ERNC, con lo que
se puede lograr un control de las propiedades estructurales, mecánicas, físicas y magnéticas. La simplificación de
los sistemas estará basada en patrones autosimilares y la correspondencia entre etapas concatenadas,
mecanismos, elementos nanoestructurales y sistemas complejos inspirados en modelos naturales (Tabla 2), para
formular un modelo lineal compuesto (Sandoval-Ruiz, 2021b). De esta manera, se logró un compendio de
mecanismos de alta eficiencia biomimética aplicables a las tecnologías de energías renovables, como son:
nervaduras sensóricas (distribuidas en álabes de turbinas eólicas), suministro de aire para refrigeración por
microredes en los convertidores, recuperadores de calor regenerativo (RCR), mediante sistemas de refrigeración
por aire natural (minimizando costos energéticos aplicando sistemas licos pasivos), texturizado de superficies
por presión en redes neumáticas (inspirado en sistema vascular), aerodinámica inteligente y estructuración
configurable, nuevos materiales, máxima eficiencia, alineación magnética, así como la formulación fotoquímicas
de capas tándem en convertidores fotovoltaicos (Sandoval-Ruiz, 2020b, 2020c).
Tabla 2. Estudio de las potencialidades de la biomimética en América Latina.
Recursos
Descripción de potencialidades y recursos energéticos disponibles de la región.
Ionización
atmosférica
Estudio de aire ionizado en regiones atmosféricas y su comportamiento como plasma
electrónico, fenómenos locales del Catatumbo (Falcón, 2021), inspirado en auroras polares.
Solar-eólico,
Mareomotriz
Intercambio regional sobre desarrollo de tecnología y temas de gestión ambiental en
América Latina (Montecinos, 2021).
Topografía
Convertidores teleféricos y/o sobre rieles para posicionamiento, sin el impacto asociado a
cimientos. Almacenamiento sobre estructuras de guías verticales para elevación de carga
mecánica. Cometas eólicos para transmisión remota de energía.
Infraestructura
Centrales termoeléctricas, para plantas de H2V, almacenamiento de ERNC y NTE.
Biomimética
Configuración inspirada en la naturaleza
Biomodelos de
flora y fauna
Patrón de girasol (piña, otros), para distribución del arreglo captador ERNC (según el
ángulo: 137 grados respecto al que le antecede, un patrón matemático como la espiral de
Fermat).
Estructura de telarañas: densidad, propiedades de resistencia y elasticidad configurable, por
frecuencia de resonancia eólica (conversión por membrana piezoeléctrica).
Sistemas bioestructurales, revalorización de recursos y residuos de especies invasoras
(unomia), replicación de organismos básicos para estructuras funcionales.
Estudio y seguimiento robótico de organismos inteligentes (como arañas y gusanos de seda)
para diseño de estructuras funcionales sostenibles.
Hojas de loto y plumaje de aves para configuración y alineación de nanoestructuras,
superficies adaptativas, antiadherente y autoregenerativas, propiedades fotoeléctricas y
resonancia eólica.
Sandoval-Ruiz, C. 5
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
Los colibrí, pueden flotar (vuelo estacionario) batiendo las alas a alta frecuencia y volar
acrobáticamente como las aves al adaptar sus alas. El estudio de su patrón de vuelo (Ahmed
et al., 2017), identificó las características de estos mecanismo para el diseño de sistemas de
energía sostenible como los son los nano generadores electrostáticos (TENG, por las siglas
en inglés para Triboelectric Nanogenerators), además de un movimiento en forma de ocho,
creando fuerza de sustentación, además de estabilizar la posición con la cola del colibrí, que
pueden ser aplicadas en el diseño de mecanismos adaptativos para captación de energía
eólica, aplicando métodos automatizados para el análisis de imágenes naturales (Serrano et
al., 2018).
Configuración
estructural
Nervaduras: nanorredes, configuración de estructura y densidad adaptativa inspirado en
sistemas y tejidos biológicos.
Gradiente de densidad de la estructura (organización de trama adaptativa) para flexibilidad
y resistencia. Así como la distribución geométrica del espiral algorítmica = 1/r!
Control de posición y ángulo de geometría del álabe, por mecanismo retráctil interno,
inspirado en biomecanismos articulados. Para el modelo de esta investigación se estableció
una aproximación inicial de la ponderación del término de restauración 1/, siendo =
1,61803. De manera de optimizar el convertidor regenerativo inspirado en la dinámica de la
naturaleza.
Configuración de
superficie
Surcos adaptativos (profundidad ajustable para mejorar la aerodinámica de su forma,
inspirado en el cactus), revestimiento auto adhesivo inspirado en textura de la piel del
tiburón, (flujo turbulento de recirculación a favor) a fin de disminuir la resistencia
aerodinámica, capaces de modificar las propiedades de la superficie.
Perfil neumático: gradiente de presión en control de la textura de la superficie y nimas
pérdidas por fricción (González et al., 2019).
Pigmentación adaptativa, por polarización óptica de superficie (plumas).
Coeficientes dinámicos de alineación (escamas).
Configuración de
perfil eólico
Geometría del borde, inspirada en aletas de ballenas (González e Hinojosa, 2019) o alas de
aves nativas, para perfiles aerodinámicos.
Control de ruido
Atenuación de ruido eólico inspirado en plumaje de búhos.
Filtros y
biomateriales
Absorción de CO2 inspirado en ballenas y crustáceos, mediante síntesis inteligente.
Recuperación de energía térmica ambiental (feedback).
Biosíntesis
Síntesis de fotoquímicos a partir de formulación neuronal, basados en modulación de luz.
Biomecanismos
Aves, peces elasmobranquios, medusas por diferencial de presión, altamente eficientes.
ANN fractal
Identificar patrones potenciales y su neuroadaptación.
Enjambre de partículas (inspirado en abejas) sobre elementos finitos.
*Librería de código en VHDL para implementación de ANN (Sandoval-Ruiz, 2013), VHDL: Very High Speed
Integrates Circuit Hardware Description Language, ANN: Artificial Neural Network, H2V: hidrógeno verde,
ERNC: energías renovables no convencionales, NTE: nuevas tecnologías emergentes, LSTM: Least Squares
Time Series, GRU: Gated Recurrent Unit, CNN: convolucionales, RNN: recurrentes.
Los modelos biológicos resultan energéticamente eficientes, por lo que se pueden definir como patrones
objetivos de una red neuronal, siendo un campo de estudio de la biomecánica (Camayo et al., 2019), en este
trabajo se plantea la alineación de elementos estructurales para propiedades ópticas y aerodinámicas, como
técnica de optimización por coeficientes del esquema neuronal. Esto lleva a pensar en paneles fotovoltaicos con
formulación y síntesis de componentes, y distribución del arreglo de elementos; una matriz configurable por
control magnético para mejorar el rendimiento, aplicando redes neuronales para modelar sistemas físicos y
optimizar coeficientes dinámicos (Sandoval-Ruiz, 2019). Así, se planteó modelar los diversos sistemas de
conversión por bloques discretos de energía con realimentación selectiva, aplicando un operador matemático de
Biomimética aplicada al modelado de Sistemas ERNC Reconfigurables 6
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
convolución donde se definen las restricciones de operación óptima, que dan forma a un modelo con
discontinuidades asociadas al régimen de operación del sistema, según (Sandoval y Fedón, 2014):
󰇛󰇜󰇱󰇛󰇜󰇡󰇛󰇜󰇢
 󰇛󰇜󰇡󰇛󰇜󰇢
 
󰇛󰇜󰇡󰇛󰇜󰇢

 󰇛󰇜󰇡󰇛󰇜󰇢

  (1)
La integración de estas soluciones de alto costo computacional representa un reto para las ERNC, donde
la tecnología de hardware reconfigurable representa una alternativa de implementación. Esto lleva a identificar la
necesidad de un soporte matemático que permita modelar las tecnologías actuales, solar y eólica, de manera
estándar, así como en modelos híbridos, con un enfoque reconfigurable para gestión de actualizaciones,
optimizaciones y evolución de tecnología sin producción de residuos (Sandoval-Ruiz, 2021c). Para ello, se
define un operador lógico (LFSR, según las siglas en inglés para Linear Feedback Shift Register), concatenado,
con realimentación selectiva, donde el problema a solucionar es el manejo de las variables físicas de
almacenamiento y realimentación de bloques de energía, para lo que se establece un modelo de variables
auxiliares, a fin de encontrar los coeficientes característicos de la estructura modeladora (Sandoval-Ruiz, 2013).
El estudio de estas configuraciones llevó a proponer la tecnología morfoadaptable, donde se detalla la variación
de características del convertidor, para determinar así las condiciones de operación de máxima eficiencia
(Bordachar, 2020). Allí cada neurona tiene un conjunto de pesos para las entradas wx y las salidas wy como se
menciona en (Sandoval-Ruiz, 2021a), de la forma siguiente:
y(t) = Fact (wx ∙ x(t) + wy ∙ y(t-1) + b], con Fact lineal (2)
Sobre los términos de este modelo se requieren correlacionar las técnicas de optimización ambiental,
por ANN, a fin de obtener energía limpia, patrón de flujo regenerativo, calidad de aire, almacenamiento y
recirculación de energía (Tabla 3).
Tabla 3. Identificación de los mecanismos de optimización biomimética en la captación de energía.
Objetivos de remediación ambiental en energías renovables no convencionales
Filtrado de aire por el sistema lico de CO2, CH4, SF6 y precipitado electrostático de material particulado
(PPM), para mejorar calidad del aire.
Sumidero de calor ambiental, sistema aerotérmico en el aerogenerador para captura y almacenaje de energía
térmica, a fin de mitigar el incremento de temperatura, inspirado en los sistemas de remediación de las
ballenas.
Mecanismos de regeneración del patrón de flujo de viento (sobre la turbina).
Metamateriales inteligentes, que cambian su resistencia ante un impacto, para ser inofensivos por colisión con
aves, adaptados a su máxima eficiencia.
Reconfiguración dinámica de mecanismos, para la reducción de obsolescencia programada de los sistemas de
ERNC y residuos tecnológicos.
Atenuación de ruido con filtros de vegetación y biorremediación de suelo.
Técnicas novedosas de optimización biomimética
Mejora de eficiencia del convertidor por estabilización por compensación inspirado en la cola de los colibrís.
Aerodinámica de fauna aérea y estudio de hidrodinámica de peces de vela.
Configuración electrónica de espines (orbitales) en sistemas magnetoeléctricos. Se platea la incorporación de
esferas magnéticas ubicadas dentro de una estructura (tejido), con líneas de flujo configurables para conversión
de energía. Biomateriales, magnetofluidos. Modelos holográficos, tecnología soft, almacenamiento de energía.
Sistemas de bioalmacenamiento de energía, por rehidratación-control del volumen del sólido, por ionización
del plasma, potencial gravitacional, composición química, polarización electrónica, magnética y espintrónica,
celdas de combustible y otras formas.
Clasificación espectral de ondas incidentes en fotovoltaica, inspirada en visión de fauna (aves).
PPM: partes por millón, ERNC: energías renovables no convencionales.
Sandoval-Ruiz, C. 7
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
Materiales y Métodos
El método aplicado comprende la interpretación del sistema físico en función de la arquitectura
seleccionada LFSR-ANN, función de ingeniería: definición de criterios y datos de entrenamiento, configuración
de la red de optimización, entrenamiento neuronal, obtención de coeficientes biomiméticos (Serrano et al.,
2018), ajustes de configuración del arreglo de captación y alineación. Se planteó el ajuste de coeficientes de
aprendizaje y la definición del hardware evolutivo, a partir de un código semilla autoorganizado. También se
realizó un estudio de mecanismos de eficiencia natural, seleccionando un conjunto de modelos biológicos entre
la flora y fauna local, al igual que las potencialidades de la región, como un compendio de datos para la
correlación e identificación de similitudes sobre la estructura descriptiva seleccionada LFSR, para la definición
de los términos matemáticos, así como la lógica del algoritmo adaptativo.
Por otro lado, se planteó el método de evaluación de eficiencia del sistema a partir del modelo en
hardware, considerando la definición de un target de entrenamiento neuronal que se corresponde con el objetivo
identificado en el diseño biomimético. Es decir, la definición de los criterios óptimos (inspirados en la
naturaleza) para la adaptación del mecanismo diseñado, aplicando filtros de operación convolucional,
implementados sobre hardware (Sandoval-Ruiz, 2021b), mediante el seguimiento de secuencia de imágenes por
CNN para extraer patrones del biomodelo.
Modelador neuronal para optimización de sistemas de energías renovables
El siguiente punto correspondió a encontrar la arquitectura del modelo a través de etapas con operadores
de convolución y realimentación lineal, LFSR, para soportar el entrenamiento de la red neuronal parametrizable
que permita las diversas configuraciones. El módulo comprende los conectores de sensores, entradas y salidas
del sistema, a fin de encontrar los coeficientes descriptivos para la ecuación genérica para módulos concatenados
con operadores fractales extendidos (Sandoval-Ruiz, 2021b), mediante la correlación de los parámetros físicos.
El modelo se diseñó para soportar partes intercambiables o componentes reemplazables que permitan mejorar la
eficiencia dadas ciertas condiciones, pudiéndose así simular estas configuraciones para seleccionar la mejor
alternativa e implementar un sistema fijo, sin embargo, algunos factores pueden ser cambiantes (ciclos
ambientales y nuevas tecnologías pueden surgir durante la vida útil del equipo), por lo que el diseño modular y
tecnología reconfigurable, ofrece flexibilidad, optimización dinámica y reutilizabilidad (para reciclar
componentes de forma responsable con el ambiente). Es por ello, que se ha planteado la caracterización de
modelos generales de plantas (con selección de turbinas comercialespara obtener los coeficientes específicos de
configuración: variación del radio de los álabes, rotor, altura, potencia de salida y otros parámetros mecánicos y
eléctricos, propios de la tecnología adaptado a las condiciones locales.
Si bien la tecnología reconfigurable no está tan desarrollada se puede modelar por etapas para los
parámetros de interés y así registrar el impacto de cada uno de los cambios en la configuración sobre el
rendimiento total del sistema: etapas neuronales con habilitación selectiva, con lo que se pueden reemplazar
componentes de ciertas etapas y optimizar de forma modular el sistema de ERNC híbrido del arreglo de
convertidores licos o concentradores solares fotovoltaicos. Para el reemplazo de partes intercambiables como
concentradores de perfil de viento, elementos aerodinámicos (álabes con dientes de sierra) y otros accesorios en
las estructuras, se propusó el modelado dinámico de la planta y su optimización in situ, destacando que sobre el
modelo neuronal se pueden definir los coeficientes de optimización, así como un enfoque de elementos de
memoria bioinspirados.
Ambientalización del diseño de energías renovables ecológicas
A partir de la observación de la fauna y flora nativa se detectaron especies endémicas que cuentan con
mecanismos evolutivos (que puede ser descrito como un algoritmo) para adaptarse a las condiciones ambientales
propias de la región de manera eficiente; resultando patrones para la definición de objetivos de optimización
bioinspirados en los procesos naturales. El estudio de los mecanismos evolutivos de adaptación y regeneración
de la naturaleza, corresponde a la base para el desarrollo de nuevos avances científicos, en materia de ingeniería
sostenible, telemedicina y sistemas regenerativos. La naturaleza es la fuente de conocimiento más valiosa, por lo
que se debe considerar como criterio básico para las etapas de diseño biomimético. Se planteó así la aplicación
de ciencia de datos para ajuste de coeficientes en soluciones sostenibles y mitigar el impacto ambiental de
tecnologías ERNC, considerando las características locales (Tabla 4).
Biomimética aplicada al modelado de Sistemas ERNC Reconfigurables 8
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
Tabla 4. Correlación de las etapas adaptativas al entorno regional para el modelo neuronal.
C1
C2
CS (eje)
Capa de salida de la turbina
Lente eólico
geométrico
Álabes
biomiméticos
Engranajes
magnéticos
Generador
eléctrico
Regenerador de flujo
eólico
Replegable y desplegable automático inspirado en abanico del pavo real (Kane et al., 2018).
Tejido liviano de captación de vibración, inspirado en alas de mariposas.
Membrana de filtrado y modulador de patrón por recirculación de viento.
Elementos fijos y móviles, adaptación a la trayectoria, en protección de aves.
Elementos ópticos por alineación estructural inspirada en plumaje de aves.
Potencial
Recursos disponibles
Aplicación en tecnologías de ERNC
Ambiental
Electricidad ambiental
Aire Ionizado aplicado a toberas magnéticas y turbinas de plasma
Sistemas
híbridos
Recursos hídricos
Fotovoltaica flotante
OTEC
Proyector cometa
solar (Sandoval-
Ruiz, 2020d)
Irradiancia solar
CSP
Bifacial
Eólicos (Djilali et al., 2021)
Alineación de flujo en turbinas eólicas (Sandoval-Ruiz, 2022a)
Biosfera
Dinámica de fauna nativa
Cardumen de peces
Bandadas de aves
Enjambre de abejas
Infraestructu
ra
energética
Galerías
Almacenamiento de energía y sumideros de carbono
Plantas termoeléctricas
Almacenamiento térmico, CAES
Turbinas Adaptadas en planta termosolar
Materiales
sostenibles
Reciclaje
Material reciclable, impresión 3D
Recursos locales
Guadua bambú, especie forestal endémica de América Latina
Tecnología
Biomodelo local
Propuesta de captadores de energía inspirados en
biomecanismos
Cometas
híbridas
Fauna Marina y aérea
Undimotriz-eólicas. Estudio de comportamiento de fauna
(delfines y cisnes) reconocimiento de patrones de ondas (Yuan et
al., 2021).
Soporte estructural flexible de tiburones y mantarrayas.
Fotovoltaica
Patrón geométrica de flores
(Passiflora incarnata)
Captadores fotoluminiscentes, patrones geométricos de
optimización, concatenación de elementos concéntricos en
fotovoltaica y eólica.
Turbinas
eólicas
Árboles, palmeras
endémicas, araucarias
Se considera al igual que en los árboles un sistema fractal de
captadores (ramas), geometría del vástago de transmisión y
factor geométrico de compensación simétrica en la etapa de
conversión subterránea (raíces).
Cometas
eólica
Colibrí, mariposas,
polinizadores
Trayectoria de vuelo en ocho, cola de compensación, etc.
Cristales de magnetita en captadores licos, para navegación
direccional.
Resonancia
Patrón geométrico, EM
Inducción: gradientes por distribución de concentración
diferencial.
RNN: Recurrent Neural Network, C1: capa 1, C2: capa 2, CS: capa de salida, ERNC: energías renovables no
convencionales, OTEC: convertidor por gradiente térmico oceánico, CSP: concentración solar de potencia,
Sistemas híbridos: eólicos-solar-mareomotriz, CAES: Compressed Air Energy Storage, EM: electromagnético.
Se modeló el sistema de captación de energía como un mecanismo aeroelásticos, basado en osciladores
acoplados y la energía como una onda, mecánica o electromagnética, según el tipo de convertidor. Igualmente,
se revalorizó el espacio vacío de las estructuras de captación, reinterpretados como maras de almacenamiento
secuencial o registro de energía. Además, con la descripción del hardware se puede definir de forma selectiva
los parámetros del algoritmo adaptativo (LMS, según las siglas en inglés para Least Mean Square),
estableciendo un conjunto de parámetros en el arreglo del combinador inteligente, para reajustar sistemas
híbridos, en circuito cerrado como el propuesto en la Figura 2.
Sandoval-Ruiz, C. 9
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
Figura 2. Esquema propuesto de captación híbrida y almacenamiento de energía.
La estandarización del sistema se trató a través del concepto de modelado por ecuaciones autosimilares,
permitiendo reconocer estructuras comunes que han sido aplicadas para obtener el mayor rendimiento del
sistema, es decir, la reutilización de mecanismos, o bien la reutilización de código en los esquemas, a las
diversas escalas del modelo fractal. Considerando que se trata de un sistema realimentado, se utilizó una técnica
de linealización a través del incremento de variables del sistema, registros de almacenamiento y realimentación,
que son definidos en el modelo concurrente para la estimación de los parámetros (coeficientes adaptativos)
interpretados del esquema físico. Esto significa un importante aporte ya que se manejan los impactos de cada
término dentro de una estructura autosimilar, la cual es extrapolable a los niveles de abstracción del sistema,
facilitando el proceso de cómputo en el manejo de las variables de foma de obtener un procesamiento eficiente,
orientado a menor capacidad de cómputo, máxima velocidad y menor consumo de energía, lo que se reconoce
como la solución óptima. Se apor así una simplificación por el tratamiento de los datos para el mínimo
consumo de recursos de hardware, máxima eficiencia y sostenibilidad. Se logró una selección óptima del número
de neuronas por capa, en correspondencia con los parámetros biomiméticos (estructuras de los álabes de
capacidad adaptativa, inspirada en las alas de las aves nativas) definidos por el modelo matemático, se define
como técnica de optimización la habilitación selectiva de módulos o etapas (mux), sobre el modelo físico
desarrollado. También se consideraron herramientas para monitoreo de aves (McClure et al., 2021), con el
objetivo de protegerlas y evitar colisión con las turbinas, de manera que pueden ser aplicadas de forma conjunta
para el estudio de optimización (Sandoval-Ruiz, 2021a), aplicando pantallas frontales desplegable.
La arquitectura de modelado ha permitido introducir un concepto de convertidores de ERNC
autosustentados, con realimentación de energía residual, donde se genera un espacio para el manejo circular de la
energía, diseñando etapas de recuperación y control del patrón de energía de forma cíclica, lo que se logra
implementar mediante el término de realimentación lineal con coeficiente adaptativo en el modelo desarrollado
(Sandoval-Ruiz, 2021a). De esta manera, el modelo incorpora términos matemáticos de soporte (Tabla 5), para
sustentar la reutilización de energía, con la finalidad de mantener su operación en régimen permanente de forma
eficiente. La selección de términos matemáticos se basó en la correlación de las etapas de los mecanismos de
optimización, donde se considera la mejor opción por tratarse de una generalización soportada sobre el operador
matemático LFSR, así como los circuitos de implementación para su procesamiento computacional en tiempo
real.
Biomimética aplicada al modelado de Sistemas ERNC Reconfigurables 10
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
Tabla 5. Método de modelado neuronal biomimético del presente estudio.
Estrategias de sostenibilidad
Análisis de contexto regional
Biomodelo por caracterización ANN
Reconocimiento de biopatrones
Técnicas de optimización
Evaluación y ajustes sobre el modelo
Lente eólico
Arreglo captador
Eje magnético
wM*x(n)+wY*y(n-1)
wC(n)*xM(n) + b
wA(n)*Tm(n) + φ
RNN con variables de estado,
para cálculo paralelo
CNN (biomodelo) para definir
parámetros biomiméticos y target
FNN modulación de flujo magnético
para control activo del rotor.
Matriz de x(n-i), (espacio vacío)
Arquitectura autosimilar
Etapa de tren de engranaje y soportes
Realimentación de flujo y(n-1)
FNN nanoarreglo por resonancia
Convertidor por inducción magnética
Concentración x(n)
Optimizar eficiencia (capa
prefijada)
Minimizar función de costo energético
(variable)
Feedback x(n-1)
Tecnología reconfigurable
Modelado matemático fractal
Adaptación dinámica: alineación
Componentes del convertidor
Generalización para FNN universal
Potencialidades locales para
optimización
Modelador ANN sobre librerías
Modelo concatenado
Relación coeficientes biomiméticos
Adaptación dinámica
Análisis de patrones y correlación
de parámetros
Ajustes a condiciones específicas
(locales)
w(n) son definidos como un coeficiente constante ki para parámetros fijos y como coeficiente adaptativo para
optimización dinámica. En tal sentido, wM(n) corresponde a los coeficientes de modulación de patrón, xM(n) al patrón
modulado en capa wind lens, wC los coeficientes de conversión de energía cinética a energía mecánica, wA(n) los
coeficientes del arreglo de álabes-eje. ANN: Artificial Neural Network, RNN: Recurrentes Neural Network, CNN:
Convolutional Neural Network, FNN: Fractal Neural Network.
Todo lo anterior (Tablas 4 y 5) se desarrolló a través del reconocimiento de la autosimilitud de los
patrones geométricos de los biomecanismos (investigación cualitativa), así como la incorporación de términos de
compensación geométrica, definiendo la arquitectura estandarizada, seguido de una investigación cuantitativa
(con la herramienta de exploración) para el entrenamiento neuronal del optimizador. Se ha seleccionado un
ensayo neuronal, definiendo tres capas ocultas: modulación eólica, configuración biomimética del álabe y
arreglo de elementos captadores-eje. El procedimiento comprendió la estimación de potencial eólico (m/seg) y
potencia de salida de la turbina (MW), en función de las características técnicas de un equipo soportado en la
herramienta (Explorador Solar, 2022).
Resultados y Discusión
Los datos obtenidos permitieron entrenar una red neuronal para la obtención de los pesos y bias
resultantes, los cuales pueden ser relacionados con los parámetros físicos y biomiméticos, así como ajustados de
forma adaptativa. En la Tabla 6 se presentan los resultados calculados para el modelo.
El lente lico, por su parte, permitió revalorizar el espacio vacío entre álabes de la turbina e introducir
términos de almacenamiento y realimentación (fundamentales en el nuevo modelo), que son simplificados a
través de una propuesta de variables intermedias. Se diseñó una red neuronal para la estimación de los
parámetros biomiméticos (Tabla 7), así mismo, con la ecuación de convolución del modelo, se lograrón obtener
los parámetros biomiméticos caracterizados y calcular los parámetros requeridos.
El modelo permitió obtener los pesos sinápticos de la red neuronal interpretada, para el cálculo los
coeficientes biomiméticos, así mismo, definir las señales de accionamiento y control de los parámetros
adaptativos. Todo esto a partir del establecimiento de una correspondencia entre el modelo del convertidor y la
ANN, considerando la generalización de realimentación y elementos de almacenamiento del sistema. De esta
manera, se pudo definir una matriz de tecnologías aeroelásticas, magnetoelásticas y piezoeléctricas para la
configuración de álabes y el manejo del concepto de arreglo inteligente de captadores de energía, basado en el
modelo. Se describe un nuevo modelo de convertidores de energías renovables orientado a la remediación
ambiental, definiendo funciones de filtrado de material particulado y recuperación de calor residual para el
manejo y gestión responsable de subproductos.
Sandoval-Ruiz, C. 11
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
Tabla 6. Diseño de la ANN modeladora del arreglo del convertidor eólico.
Función de ingeniería: definición de las variables de entrenamiento
Velocidad
(m/seg)
x1
x2
x3
y(n-1)
Y(n)
Tm (Nm)
optimizado
v(n-1)
v(n-2)
v(n-3)
% Pv
Pv (MW)
7,40
7,40
7,80
7,80
4,44
20,30
1,43
7,80
7,80
7,80
8,30
5,12
22,55
1,59
7,80
7,80
8,30
8,60
5,12
22,55
1,59
8,30
8,30
8,60
7,80
5,57
25,53
1,80
Esquema concatenado
(wi ganancia por álabe)
Control activo de
flujo eólico
(Modulador eólico)
Ecuación deducida con componente cinético elástico: 󰇛󰇜
 󰇛󰇜

El modelo se corresponde con la sumatoria de aportes de la concatenación de elementos del eje, hasta n
elementos, y una fuerza regenerativa, correspondiente al almacenamiento de energía por potencial elástico,
resultante de los desplazamientos, en un instante de tiempo t-1. Lo que representa la fuerza almacenada y
realimentada al sistema. A escala se interpretó como un arreglo núcleo-orbitales: eje turbina-arreglo colector
(eje álabe-largueros). Luego se identificaron los términos de configuración y alineaciones inteligentes de
elementos autosimilares sobre el eje de conversión, soportados sobre el modelo matemático desarrollado.
Vale mencionar que la inercia m(t) varía con relación a la configuración del rotor y parámetros variables como
el radio r(t), relacionado con el área de barrido o captación del conjunto; considerándose un rotor vacío por
inducción de energía sobre el rotor periférico, además de turbinas con álabes direccionales pasivos para
cámaras de almacenamiento de área adaptativa. La traslación del elemento de captación de energía, en la
órbita, origina la rotación del eje (núcleo), que se convierte por inducción electromagnética en energía eléctrica,
como sumatoria de los aportes de cada elemento del conjunto.
Definición de la arquitectura del modelo neuronal (LFSR-ANN) propuesto para diseño biomimético
Optimizador
Capa de configuración de optimizador por modulación de flujo eólico
Lente eólico
concentrador
Modelo elementos finitos, modulación eólico, filtro y pantalla, bioinspirado en la resonancia
del plumaje del pavorreal, con estudio de biomecánica (Kane et al., 2018).
Con wi se realiza el cálculo de los coeficientes de concentración del tejido biomimético y
arreglo de concentrador/regenerador eólico.
Coeficientes
Pesos sinápticos de optimizador etapa de lente eólico (Wind Lens)
Umbrales
Variables de
estado
wx1
wx2
wx3
wy
bc1
x(n-1)
-0,71
0,14
-0,22
-0,01
-0,20
x(n-2)
0,06
-0,59
0,89
-0,80
0,18
x(n-3)
-0,27
-0,02
-0,83
-0,34
0,86
y(n-1)
-0,71
0,14
-0,22
-0,01
-0,20
Bioálabes
Capa de control de álabes por coeficientes biomiméticos
Estructura
fractal
configurable
El arreglo captador ha sido modelado para definir los coeficientes físicos biomiméticos, álabes
con combinación de tecnologías para aumentar la eficiencia, el concepto FishBAC (Bordachar,
2020), superficie adaptativa, piel flexible de compuesto de matriz elastomérica (EMC), perfil
dinámico del álabe de geometría configurable, larguero telescópico, nervaduras deslizantes,
transmisión por correa, densidad adaptativa. Sistemas estructurales de baja densidad (fuerza
sin peso).
Para n elementos del arreglo de captación (álabes).
Control activo wBIO(n) de accionamientos de los coeficientes de configuración de la geometría
del álabe*: δF, kR, kM, kE, kT
*Ángulos de configuración de estructura del álabe (δF); α: ángulo de pitch, kR (rotor), kM (magnético), kE (elástico),
kT (térmico) y posición en ejes x, y, z; Y: salida de la red; v: velocidad de viento; Pv: potencia de viento; FishBAC:
Biomimética aplicada al modelado de Sistemas ERNC Reconfigurables 12
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
Fish Bone Active Chamber; Tm: toque mecánico; LFSR-ANN: Linear Feedback Shift Register-Artificial Neural
Network; VAWT: Vertical Axial Wind Turbine; HAWT: Horizontal Axial Wind Turbine.
Tabla 7. Modelo desarrollado de inteligencia biomimética con F-ANN.
Correlación de coeficientes y definición de señales de accionamiento, relacionando los parámetros
biomiméticos con los pesos sinápticos F[w(n)]
Coeficientes
Pesos sinápticos de configuración de captadores (álabes)
Umbrales
Matriz Elastomérica
-0,94
-0,20
-0,90
-0,02
Reajustado PV
Coeficiente kBM1
Coeficiente kBM1
w(n)LMS1
w(n)LMS2
Perfil flexible
0,17
0,72
0,42
-0,57
Matriz magnetoelástica
0,58
-1,02
-0,07
-0,27
δF (ángulo giro polea)
-0,30
0,28
-0,67
-0,55
Arreglo planetario
Capa de control magnético de tren de engranajes
Rotor modulador para control de flujo magnético.
Con wz se realiza el cálculo de los coeficientes de configuración del modulador de
campo magnético.
Coeficientes
Pesos sinápticos de configuración del eje
Umbrales
MagLev
0,65
0,34
0,34
-0,94
-0,19
Definición de las funciones de ingeniería y arquitectura de la ANN
Capa
Entrada
Capa 1
Capa 2
Salida
Lente eólico (wi)
Neuronas
4
3
4
1
Álabe (wj)
Función de activación
N/A
Lineal
Lineal
Lineal
Eje colector (wz)
Configuración del entrenamiento de la red LFSR-ANN
Épocas: 88
Coeficiente de aprendizaje: 0,01
Error medio: 0,0019
Ecuaciones descriptivas del código VHDL
w(n)
x(n) + y(n-1)
MF:
Port map (w(n),x(n),y(n-1), y(n))
Función convolución
1 Resultados calculados a partir de las variables del modelo energético solar-eólico. Modelo turbina eólica: radio
58,00 m y potencia 5000 KW. Datos de la ubicación seleccionada para el ensayo: densidad de aire (ρ) 1,21
kg/m3 y rango de velocidad de viento de 2,50 a 8,60 m/seg. Se enuncia la relación de parámetros biomiméticos y
pesos: aporte_element = peso sináptico * x(n) = sumatoria de parámetros biomiméticos * x(n). Optimización
por inteligencia biomimética aplicada en estructura del álabe y superficie. F-ANN: Fractal, PV: potencia de
viento, LMS: Least Mean Square, MagLev: Magnetic Levitation, N/A: no aplica, LFSR-ANN: Linear Feedback
Shift Register-Artificial Neural Network, VHDL: Very High Speed Integrates Circuit Hardware Description
Language. MF: módulo funcional.
Al analizar la transmisión de energía mecánica en una turbina de flujo axial, se encontró un
comportamiento descriptivo autosimilar al presentado en otros mecanismos de conversión, desde el modelado de
fuerzas de interacción en el eje, la correlación establecida entre conversión fotovoltaica (Sandoval-Ruiz, 2020b)
y eólica (González et al., 2019; Sandoval-Ruiz, 2022a). Esto permitió extrapolar los resultados a diversas
escalas, el eje ha sido modelado a través de elementos discretos, que se asocia con organización LFSR: núcleo-
orbitales (el arreglo de captación transmite la energía, a través de catenarias), en el modelo atómico o planetario.
Si cada uno de estos elementos realiza una conversión de energía en su campo de captación, se tiene un conjunto
de microconvertidores discretos, que permiten modelar de manera única la conversión de los distintos
mecanismos. En este orden de ideas, los aerogeneradores pueden ser modelados con etapas activas para restaurar
Sandoval-Ruiz, C. 13
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
las condiciones de calidad del aire y la regeneración del patrón de flujo de viento aguas abajo de las turbinas, por
su impacto en el vuelo de las aves, posibles efectos sobre el cambio climático e impacto sobre la eficiencia (MIT,
2022). Así mismo, se plantearon otros mecanismos de optimización, como control de flujo magnético para la
relación de transmisión en el eje de la turbina, a partir de las líneas de flujo y recirculación de flujo regenerativo
(Tabla 8).
Tabla 8. Propuesta de mecanismos de optimización para las etapas del sistema de ERNC.
Biocinética: estudio de la interacción cinética en optimización aerodinámica.
Bioremediación: estrategias de filtrado de CO2 y sumideros de calor. Respeto, responsabilidad y
revalorización de la fauna, flora, los recursos energéticos y dinámica local.
Nanocapas concatenadas por efecto tándem para optimizar la estructura del compuesto y su eficiencia de
forma modular, sustratos y serigrafía.
Arreglo colaborativo (interacción aguas abajo), proyectores direccionales y recaptación de energía reflejada,
protección de áreas naturales y glaciares (Sandoval-Ruiz, 2021c).
Alineación y control Activo de flujo y elementos finitos en la interacción dinámica.
Etapas del método de optimización biomimética del sistema de ERNC
Reconocimiento de patrones de arquitectura, para los niveles del sistema.
Definición de variables de configuración y optimización (innovación: basado en progresiones aritméticas y
geométricas), ajuste fino, a través de un algoritmo con coeficiente de aprendizaje adaptativo.
Optimización de la técnica de aproximación, para menor número de iteraciones.
ERNC: energías renovables no convencionales.
Uno de los resultados más destacables del presente trabajo es la gestión lineal del sistema, al incluir más
etapas directamente relacionadas con la arquitectura desarrollada, alcanzando un error mínimo de 0,0019 y
mejorando la convergencia del algoritmo de aprendizaje a 88 épocas de entrenamiento y la eficiencia de la red.
Esto se logró por la selección del conjunto adecuado de parámetros del sistema. El estudio aporta una
reinterpretación del modelo, que permite definir una arquitectura de la ANN-LFSR, para optimización de
parámetros por aprendizaje automático (Machine Learning).
Modelo matemático desarrollado para la descripción en código VHDL
La generalización para descripción en VHDL permite el cálculo de parámetros, sobre hardware, en
modo de entrenamiento e identificación del sistema, de forma remota (Sandoval-Ruiz, 2021d) para las nuevas
tecnologías (Sandoval-Ruiz, 2020e; Zhou, 2021). Esto quiere decir que la tabla de entrada corresponde
directamente a los parámetros medidos en campo para el modelado dinámico del sistema de estudio. Es
importante mencionar que el modelo puede ser extrapolado para los soportes de levitación magnética, a fin de
contar con un modelado de componentes autosimilares, donde los coeficientes definen la eficiencia del módulo.
Un aporte importante corresponde al tratamiento espaciotemporal del modelo concatenación de aportes por pesos
sinápticos y sumatoria de aportes en el tiempo. De esta manera, en una matriz de coeficientes se establecen
relaciones en las dimensiones de la respuesta por ganancia selectiva (concentrador/filtro), arreglo de captadores y
comportamiento secuencial en el tiempo, con variables adaptadas a hardware.
En la fórmula obtenida, los coeficientes de optimización definidos para cada variable operan sobre el
modelo de la planta, donde se presenta un efecto concatenado entre las capas del modelo y capa de optimización
(selectiva), sobre la entrada x(t), sumada con el aporte del vector de coeficientes de realimentación, como se
describe a continuación:
󰇛󰇜󰇛󰇜󰇟󰇛󰇜󰇛󰇜󰇛󰇜󰇛󰇜󰇠 (3)
A la matriz de coeficientes se le añadió un arreglo wopt con relación a la activación o desactivación del
elemento, lo que permitió estimar el consumo de potencia del circuito modelador-optimizador, en función de la
profundidad lógica del diseño sobre el dispositivo FPGA (según las siglas en inglés para Field Programmable
Gate Array), puesto que este factor incide sobre los retardos de las señales y velocidad de mputo, al igual que
sobre el consumo de potencia dinámica (por propagación por transiciones), para aumentar la eficiencia. Otro
campo de aplicación corresponde a las tecnologías basadas en modelos cuánticos, cristales de tiempo, arreglos de
lentes holográficos (Chrysler et al., 2022), en los que este modelo matemático puede ser adaptado, de forma
Biomimética aplicada al modelado de Sistemas ERNC Reconfigurables 14
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
teórica para el estudio de estos conceptos prácticos, desde las ecuaciones obtenidas, mediante la generalización
de etapa (Tabla 9).
Tabla 9. Análisis de correlación del modelo LFSR aplicado a energías renovables.
Principio
Fotovoltaica
Eólica
Matriz programable por campo
(Sandoval-Ruiz, 2020c)
Fórmula y celdas de
semiconductores
Osciladores acoplados,
magnetoelásticos (Zhou, 2021)
Filtros selectivos
Polarización de luz
Modulación eólica
Multietapa
Capas fotovoltaicas
Bloques discretos de energía
Realimentación
Bifacial, PERC, holográfico.
Turbinas colaborativas, CAES
Álabes configurables, radio variable, perfiles aerodinámicos intercambiables, revestimiento autoacoplable de la
superficie del álabe, materiales inteligentes y mantenimiento autoregenerativo en sitio. Se ingresa a una LUT-
Table para configuración de los parámetros de ajuste del modelo, se aplica un algoritmo adaptativo para hallar
los coeficientes de optimización del sistema. LFSR: Linear Feedback Shift Register, PERC: Passivated Emitter
Rear Cell, CAES: Compressed Air Energy Storage.
En cuanto a la autoorganización del modelo fractal, un aporte corresponde al soporte del diseño
flexible: álabes autoacoplables, es decir, que se puedan ensamblar las piezas de la turbina sin necesidad de
aleaciones para facilitar el reciclaje, además de incluir campos electromagnéticos como fuerza de adhesión. En el
mismo orden de ideas, se desarrolló la configuración dinámica de la turbina bifuncional (Figura 3), en el
concepto de turbina de eje horizontal-HAWT, con entrada paralela en configuración de flujo radial y entrada
secuencial en configuración de flujo axial (multi-etapa), en base a la ecuación descriptiva (3). En los modelos
híbridos solar-eólico de turbinas HAWT se puede definir una función simbiótica para refrigerar los paneles
solares y capturar un patrón de flujo eólico controlado por la aerodinámica de la superficie de la instalación
fotovoltaica. Es decir, se puede aprovechar la pendiente de la instalación fotovoltaica para crear una guía de flujo
eólico, a fin de recrear un efecto cámara en la posición de encuentro entre la superficie y la turbina, acelerando el
flujo de viento y optimizando así el rendimiento de la turbina eólica.
Figura 3. Modelo desarrollado de turbina eólica bifuncional configurable. WG: ganancia del generador,
P1: oscilador 1, Pi: oscilador i, PN: oscilador n, kAF: coeficientes de acoplamientos flexibles.
En el mismo orden de ideas, se planteó: (1) tobera de concentración (lente eólico) por etapas y (2)
modulación mecanomagnética de flujo eólico, a través de malla de control aerodinámico, filtrado de aire por
precipitación electrostática en las etapas de entrada-salida de la turbina lica y sistemas magnetoeléctricos de
conversión. Es importante destacar que las toberas de concentración magnética requieren de un fluido ionizado.
Por lo que para el control activo del flujo eólico se pueden aprovechar las condiciones naturales de ionización de
aire de la región (Falcón, 2021). Sin embargo, como solución general se pueden establecer elementos mecánicos
con configuración electrónica de ionización (discutidos en la Tabla 7), compuestas por núcleos magnéticos y
guía de ondas, como orbitales sobre los que se desplazan por acción del flujo de viento incidente, las esferas
magnéticas (de captación de energía eólica), dentro de una trayectoria definida en la configuración del
convertidor, para la conversión por diferencial magnético respecto a un núcleo de conversión. Todo lo anterior
permite proponer un operador matemático fractal, en consideración de la optimización dinámica de sistemas de
Sandoval-Ruiz, C. 15
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
ERNC, en niveles de abstración de osciladores acoplados-ondas (Sandoval-Ruiz, 2022b) y los elementos del
parque eólico (Figura 4).
Figura 4. Modulador fractal de flujo de energía sobre el modelo desarrollado. R(t): realimentación, r(t): registros
temporales de almacenamiento de energía.
Cabe mencionar que este arreglo soporta la formulación de materiales ópticos y magnetoelásticos,
donde la complejidad del sistema fractal es solventada por el modelado de etapas autosimilares. La similitud del
modelo matemático de las etapas concatenadas: el modulador, concentrador (turbina moduladora externa) y los
elementos de conversión (turbina convertidora interna), coindice con una arquitectura LFSR (Figura 3). En los
modelos estudiados de conversión biomimética, se identificó un factor de conversión por unidad de elemento
captador, un eje o sumador de aportes, un componente de memoria asociado al aprendizaje automático y un
estabilizador o compensador que corresponde a la realimentación del sistema en sus etapas, lo que permitió
reconocer un patrón autosimilar, que se generalizó por términos fractales, de la forma:
󰇛󰇜󰇛󰇜󰇛󰇜
 󰇛󰇜󰇛󰇜󰇛󰇜

 󰇛󰇜 (4)
Donde wi(t) será un arreglo de coeficientes dinámicos en mecanismos de ganancia del convertidor o
etapa, con una arquitectura LFSR o una constante en casos de configuración definida por parámetros
biomiméticos estimados del modelo. El control de estos parámetros por modelo de mecánica cuántica, tal es el
caso de osciladores acoplados por método de elementos finitos MEF (Sandoval-Ruiz, 2022b) y modelado fractal
sobre los tres ejes, permite extrapolar y manejar la amortiguación de la respuesta del sistema, variando los
coeficientes aeroelástica, inercia o magnéticos, como se expresa en:
󰇛󰇜󰇛󰇛󰇜󰇜󰇛󰇜

 󰇛󰇜 (5)
Con 󰇛󰇜󰇛󰇜󰇛󰇜

 󰇛󰇜
 󰇛󰇜 en la etapa de modulación o filtrado
regenerativo del patrón de energía, se modela como variable concatenada u(x,y,z,t) para estructuras
autosimilares. La discontinuidad de esta ecuación permite describir el régimen de operación del sistema de
ERNC y los niveles estructurales para el control de coeficientes mecánicos (clásico y cuántico), lo que ha sido
reconocido en esta investigación para simplificación del reciclaje de componentes, código y etapas del modelo.
El modelo desarrollado incluye la sumatoria de aportes y términos de realimentación, por etapas físicas
mecánicoeléctricas y por niveles de abstracción, correspondientes a las estructuras configurables, donde los
coeficientes de cada término están expresados en función de la memoria condicional del sistema, aprendizaje de
las condiciones del entorno y target biomimético de eficiencia, que definen la respuesta óptima. Así mismo, el
modelo propuesto cubre un aspecto importante, que consiste en incluir un término de realimentación adaptativa,
que otros modelos no incluyen, siendo novedoso respecto a trabajo previos (Sandoval-Ruiz, 2021a), por
establecer una relación entre el elemento de memoria del sistema y el aprendizaje de las condiciones del entonro,
Biomimética aplicada al modelado de Sistemas ERNC Reconfigurables 16
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
para establecer la función objetivo o target de adaptación de la combinación de coeficientes, en función de los
parámetros reconocidos en los modelos biomiméticos, en mecanismos naturales.
Conclusiones
Gracias a la reinterpretación del modelo tecnológico, considerando su arquitectura con base en patrones
geométricos de la naturaleza y la relación de coeficientes matemáticos con las variables sicas, se estableció un
esquema de inteligencia energética que busca soportar la reconfiguración dinámica de las ERNC. De esta forma,
se obtuvo un método generalizado de optimización por modelo biomiméticos, el cual consiste en adicionar un
arreglo de variables de estado con relación a los registros de almacenamiento de energía y el cálculo del aporte
de realimentación de energía a la entrada de la capa de la red neuronal, incorporando al modelo físico del
convertidor una correlación estructural de los coeficientes biomecánicos. Otro aporte corresponde a las etapas de
alineación regenerativa de patrón de flujo, mediante mecanismos configurables, ajustado a las condiciones
locales de América Latina, para minimizar el impacto ambiental (sobre la migración de aves, polinizadores) y
proteger los recursos sin intervenir en espacios naturales, ecosistemas y ritmos de desarrollo sostenible. Se logró
una estandarización matemática para la descripción en VHDL de redes fractales multicapas, mediante variables
de estado para la estimación de los coeficientes, permitiendo definir a través de un conjunto de términos lineales
un set de estructuras autosimilares concatenadas.
Se planteó la formulación de una variable diferencial de presión para activación del aerogenerador y un
flujo residual que permite garantizar la armonia con el entorno. La actualización consta de la integración de un
nuevo término que se correlacciona con un factor de corrección por diferencial de flujo, reflejado sobre los
elementos del arreglo o parque eólico, dando lugar al concepto de configuración de flujo regenerativo.
Con el compromiso de protección de los ecosistemas se propone integrar elementos de referencia como
cristales de magnetita y emisores de ondas acústicas, inspirado en los sistemas de navegación de la fauna, para
evitar colisiones con los captadores. A mismo, queda planteada una investigación en magnetometría para
estudiar el efecto sobre el campo magnético del flujo turbulento ocasionado en las hidroeléctricas y turbinas
eólicas, conversión de energía por distribución del gradiente magnético (concentración de minerales en la corteza
terrestre), para su aprovechamiento de forma no invasiva y estudio de potencial de fenómenos de la
magnetosfera en la región.
Referencias Bibligráficas
Ahmed, A., Hassan, I., Song, P., Gamaleldin, M., Radhi, A., Panwar, N., Tjin, N., Desoky, A., Sinton, D., Yong
K., Zu, J. (2017). Self-adaptive bioinspired hummingbird-wing stimulated triboelectric nanogenerators. Scientific
Reports, 7(1), 1-9.
Biswas, S., Grajower, M. Y., Watanabe, K., Taniguchi, T., Atwater, H. A. (2021). Broadband electro-optic
polarization conversion with atomically thin black phosphorus. Science, 374, 448-453.
Blanco, J., Rodriguez, J. D. D., Couce, A., Lamas, M. I. (2021). Proposal of a nature-inspired shape for a vertical
axis wind turbine and comparison of its performance with a semicircular blade profile. Applied Sciences, 11(13),
6198.
Bordachar de Dios, J. A. (2020). Simulación dinámica de álabes de geometría adaptativa con aplicación de
biomimética para turbinas eólicas de baja potencia. Tesis de grado. Chile: Universidad Técnica Federico Santa
María (USM).
Camayo, K., Quispe, C., Quispe, C., Moggiano, N. (2019). Diseño de una turbina hidráulica con tecnología
biomimética. Revista Investigación Aplicada e Innovación, 13, 12-24.
Chahl, J., Chitsaz, N., McIvor, B., Ogunwa, T., Kok, J. M., McIntyre, T., Abdullah, E. (2021). Biomimetic
drones inspired by dragonflies will require a systems based approach and insights from biology. Drones, 5(2),
24.
Chrysler, B. D., Shaheen, S. E., Kostuk, R. K. (2022). Lateral spectrum splitting system with perovskite
photovoltaic cells. Journal of Photonics for Energy, 12(2), 022206.
Djilali, L., Badillo-Olvera, A., Rios, Y. Y., López-Beltrán, H., Saihi, L. (2021). Neural high order sliding mode
control for doubly fed induction generator based wind turbines. IEEE Latin America Transactions, 20(2), 223-
232.
Sandoval-Ruiz, C. 17
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
Engie. (2022). Wind turbine blade circularity [en nea] disponible en:
https://innovation.engie.com/en/sustainable_technologies/detail/wind-turbine-blade-circularity/27093 [consulta:
12 diciembre 2022].
Explorador Solar. (2022). Universidad de Chile [en línea] disponible en: https://solar.minenergia.cl/fotovoltaico
[consulta: 21 enero 2022].
Falcón, N. (2021). Review and microphysics of the maximum electricity atmospheric activity in the word: the
Catatumbo lightning (Venezuela). Journal of Atmospheric Science Research, 4(2), 12-21.
González, A., Hinojosa, J. (2019). Study of the influence of protuberances in the trailing edge of airfoils and
determination of their aerodynamic efficiency through CFD using Ansys Fluent. Revista Internacional de
Métodos Numéricos para Cálculo y Diseño en Ingeniería, 35(3), 36.
Kane, S. A., Van Beveren, D., Dakin, R. (2018). Biomechanics of the peafowl’s crest reveals frequencies tuned
to social displays. PloS One, 13(11), e0207247.
Marturet Pérez, G. J., Marturet García , G. E., Torres Monzón C. F. (2022). Análisis CFD en régimen transitorio
para la determinación de cavitación en turbinas helicoidales Gorlov, Revista Técnica de la Facultad de
Ingeniería de la Universidad del Zulia, 45(2), 84-99.
McClure, C. J., Rolek, B. W., Dunn, L., McCabe, J. D., Martinson, L., Katzner, T. (2021). Eagle fatalities are
reduced by automated curtailment of wind turbines. Journal of Applied Ecology, 58(3), 446-452.
MIT. (2022). A new method boosts wind farms’ energy output, without new equipment [en línea] disponible en:
https://news.mit.edu/2022/wind-farm-optimization-energy-flow-0811 [consulta: 11 noviembre 2022].
Montecinos, S. (2021). Pronósticos de generación eólica y solar fotovoltaica en América Latina” de la red
sectorial GADeR-ALC. Informe de proyecto 83360091. Bonn: Deutsche Gesellschaft r Internationale
Zusammenarbeit (GIZ).
Nawar, M., Hameed, H., Ramadan, A., Attai, Y., Mohamed, M. (2021). Experimental and numerical
investigations of the blade design effect on Archimedes spiral wind turbine performance. Energy, 223, 120051.
Sandoval-Ruiz C. (2022a). Wind turbine with configurable feedback scheme for minimal environmental impact
and maximum efficiency. Universidad Ciencia y Tecnología, 26(113), 123-136.
Sandoval-Ruiz, C. (2022b). Quantum architecture: osciladores acoplados, dinámica y ERNC. REC Perspectiva,
19(1), 86-99.
Sandoval-Ruiz, C. (2021a). LFSR optimization model based on the adaptive coefficients method for ernc
reconfigurable systems. Ingeniare, 29(4), 743-766.
Sandoval-Ruiz, C. (2021b). Fractal mathematical over extended finite fields Fp[x]/(f(x)). Proyecciones Journal
of Mathematics, 40(3), 731-742.
Sandoval-Ruiz, C. (2021c). Smart systems for the protection of ecosystems, flora and fauna. Universidad
Ciencia y Tecnología, 25(110), 138-154.
Sandoval-Ruiz, C. (2021d). Laboratorio de energías renovables y aplicaciones ambientales. Revista Ciencia e
Ingeniería, 42(2), 169-178.
Sandoval-Ruiz, C. (2020a). LFSR-fractal ANN model applied in R-IEDs for smart energy. IEEE Latin America
Transactions, 18(4), 677-686.
Sandoval-Ruiz, C. (2020b). Arreglos fotovoltaicos inteligentes con modelo LFSR-reconfigurable. Revista
Ingeniería, 30(2), 32-61.
Sandoval-Ruiz, C. (2020c). Arreglo inteligente de concentración solar FV para MPPT usando tecnología FPGA.
Revista Técnica de la Facultad de Ingeniería Universidad del Zulia, 4(3), 122-133.
Sandoval-Ruiz, C. (2020d). Proyecto cometa solar-CS para optimización de sistemas fotovoltaicos. Universidad
Ciencia y Tecnología, 24(100), 74-87.
Sandoval-Ruiz, C. (2020e). Tecnología R-IEDs para ERNC, teletrabajo y mitigación de impacto ambiental.
Industrial Data, 23(2), 151-167.
Sandoval-Ruiz, C. (2019). Modelo VHDL de control neuronal sobre tecnología FPGA orientado a aplicaciones
sostenibles. Ingeniare, 27(3), 383-395.
Biomimética aplicada al modelado de Sistemas ERNC Reconfigurables 18
Rev. Téc. Ing. Univ. Zulia, 2023, Vol. 46, e234602.
Sandoval Ruiz, C. E., Fedón Rovira, A. S. (2014). CESR-codificador RS (255, k) eficiente para sistemas
reconfigurables. Revista Técnica de la Facultad de Ingeniería Universidad del Zulia, 37(2), 151-159.
Sandoval-Ruiz, C. (2013). Modelo optimizado del codificador Reed-Solomon (255,k) en VHDL a través de un
LFSR paralelizado. Tesis doctoral. Venezuela: Universidad de Carabobo.
Serrano, S. A., Benítez-Jimenez, R., Nunez-Rosas, L., Coro Arizmendi, M. D., Greeney, H., Reyes-Meza, V.,
Morales, E., Escalante, H. J. (2018). Automated detection of hummingbirds in images: a deep learning
approach. Mexican Conference on Pattern Recognition. xico D. F.: Springer, 155-166.
Yazici, İ., Yaylaci, E. K., Cevher, B., Yalçin, F., Yüzkollar, C. (2021). A new MPPT method based on a
modified Fibonacci search algorithm for wind energy conversion systems. Journal of Renewable and
Sustainable Energy, 13(1), 013304.
Yuan, Z. M., Chen, M., Jia, L., Ji, C., Incecik, A. (2021). Wave-riding and wave-passing by ducklings in
formation swimming. Journal of Fluid Mechanics, 928(2), 1-11.
Zhou, Y., Zhao, X., Xu, J., Fang, Y., Chen, G., Song, Y., Li, S., Chen, J. (2021). Giant magnetoelastic effect in
soft systems for bioelectronics. Nature Materials, 20(12), 1670-1676.
Editor Asociado: Wilfrido Arteaga Sarmiento
Facultad de Ingeniería Campus Nueva Granada, Programa de Ingeniería Industrial,
Universidad Militar Nueva Granada, Cajicá, Colombia.
REVISTA TECNICA
DE LA FACULTAD DE INGENIERIA
UNIVERSIDAD DEL ZULIA
www.luz.edu.ve
www.serbi.luz.edu.ve
www.produccioncienticaluz.org
Esta revista fue editada en formato digital y publicada
en enero 2023, por el
Fondo Editorial Serbiluz,
Universidad del Zulia. Maracaibo-Venezuela
Volumen 46. Año 2023, Edición continua_________________________