ppi 201502ZU4659
Esta publicación cientíca en formato digital es
continuidad de la revista impresa
ISSN 0254-0770 / Depósito legal pp 197802ZU38
UNIVERSIDAD DEL ZULIA
Una Revista Internacional Arbitrada
que está indizada en las publicaciones
de referencia y comentarios:
• SCOPUS
• Compendex
• Chemical Abstracts
• Metal Abstracts
• World Aluminium Abstracts
• Mathematical Reviews
• Petroleum Abstracts
• Current Mathematical Publications
• MathSci
• Revencyt
• Materials Information
• Periódica
• Actualidad Iberoamericana
DE LA FACULTAD DE INGENIERÍA
REVIST
A TÉCNICAREVISTA TÉCNICA
“Buscar la verdad y aanzar
los valores transcendentales”,
misión de las universidades en
su artículo primero, inspirado
en los principios humanísticos.
Ley de Universidades 8 de
septiembre de 1970.
“Buscar la verdad y aanzar
los valores transcendentales”,
misión de las universidades en
su artículo primero, inspirado
en los principios humanísticos.
Ley de Universidades 8 de
septiembre de 1970.
VOLUMEN 43
SEPTIEMBRE - DICIEMBRE 2020
NÚMERO 3
Rev. Téc. Ing. Univ. Zulia. Vol. 43, No. 3, 2020, Septiembre-Diciembre, pp. 114 - 176
Arreglo Inteligente de Concentración Solar FV para MPPT
usando Tecnología FPGA
Sandoval-Ruiz, Cecilia E.
Facultad de Ingeniería, Universidad de Carabobo, Venezuela. cesandova@gmail.com
https://doi.org/10.22209/rt.v43n3a02
Recepción: 10/02/2020 | Aceptación: 29/06/2020 | Publicación: 01/09/2020
Resumen
La presente investigación comprende el estudio de los sistemas fotovoltaicos y las actuales técnicas para el


                



la complejidad computacional, procesamiento paralelo y factibilidad técnica-ambiental del diseño. Entre los resultados se
presenta una técnica alernativa, basada en circuitos auto-similares, con etapas de ganancia adaptativa, almacenamiento


Palabras Clave: sistemas fotovoltaico; concentradores ópticos; seguimiento de punto de máxima potencia; arreglo de

Smart PV Solar Concentration Arrays for MPPT using FPGA
Technology
Abstract


of actuator components in the arrangement solar monitoring and regenerative heat recovery circuits, applying neural
         

           
    



Keywords:


Rev. Téc. Ing. Univ. Zulia. Vol. 43, No. 3, 2020, Septiembre-Diciembre, pp. 114 - 176
123
MPPT para Sistemas Fotovoltaicos con FPGA
Introducción
      
      
relación a la densidad de energía de los convertidores y
       
       
        

optimización de enjambre de partículas y otros métodos
híbridos [1]. En esta investigación se han revisado
      
    Linear Feedback Shift
Register 
algoritmos adaptativos [10].
El estudio parte del comportamiento del sistema,
íos tecnológicos en la generalización
de estrategias de optimización a partir del modelo.
        
      
[2-3], como capacidad de cómputo y procesamiento
concurrente, donde la tecnología Field Programmable
Gate Array       
solución para implementar, de manera eficiente, sistemas
      
        
adaptación dinámica.

posible la optimización de etapas funcionales, en término
de variables independientes, tal es el caso de la irradiancia,
potencia recibida por unidad de superficie, y temperatura
de los módulos, dando lugar a combinaciones de métodos
de optimización [11-12]. En esta área se ha detectado
       
los parámetros del sistema, describa los componentes y
su comportamiento, con el objetivo de definir el control
dinámico aplicando coeficientes adaptativos, a partir de la
identificación de correspondencia entre cada una de los
subsistemas.
La importancia del método propuesto viene dada
por una generalización estructural para reconfiguración
inteligente y optimización dinámica de los coeficientes

       
        
         
técnicas de optimización pasivas: interconexión del
      
del panel FV [15], y técnicas activas: MPPT distribuido,
inversores multinivel, reconfiguración dinámica (arreglo

     
al sistema de potencia. Adicional a técnicas innovadoras:
arreglos ópticos configurables [12] y modificación

Comunmente, el sistema de seguimiento modifica
la posición (de los ejes x,y
[16], a fin de obtener la mayor radiación solar incidente
       
un conjunto de motores y elementos móviles al arreglo
       

para mejorar las condiciones de irradiancia, a fin de
  
fija la posición de los paneles de la estación terrena

será el direccionamiento de la radiación solar, hacia el
arreglo fotovoltaico distribuido. Todo esto sincronizado
con el MPPT e incorporación de almacenamiento térmico
y almacenamiento transitorio en ultra-condensadores
        
     
en los paneles, para aumentar su eficiencia.

identificar los modelos por etapas.
Arreglo óptico, este corresponde a la
configuración geométrica de lentes ópticas, mecanismos
de direccionamiento, concentradores solares
       

ser diseñados de manera dedicada para cada elemento
de conversión, multiplexados en el espacio, o aplicando

ganancia, dada por los coeficientes específicos del modelo

efecto del dispositivo óptico en la trayectoria y magnitud
de la radiación solar incidente.
     
correspondencia con el concepto de antenas inteligentes
   
etapas: direccionamiento heliostático y concentración
      
por componente espectral de radiación, expresada
de forma matemática en un rango específico para los
         
material FV y aumentar la eficiencia. En su diseño se
ha identificado la correspondencia del modelo con la
       
      
realimentación de la radiación, dado por la reflexión en
el material.
      
 w
UV
ultravioleta,
w
FV
luz visible, w
IR
infrarro-


la irradiancia recibida x
i
, para función de concentración /
atenuación / captación.
Arreglo Fotovoltaico, comprende los elementos
de la unidad de generación de energía FV. Este arreglo
 
Rev. Téc. Ing. Univ. Zulia. Vol. 43, No. 3, 2020, Septiembre-Diciembre, pp. 114 - 176
124
Sandoval-Ruiz
Tabla 1
Radiación Tecnología Almacenamiento Directo Fórmula Longitud de Onda
Ultravioleta
10,49 %
Esterilización, UV-C
Conservación biomasa y
tratamiento post-cosecha
w
UV
x
i
+
b
i
10 nm ≤ λ ≤ 400 nm
Fotones
Luz Visible
42,74 %
Fotovoltaica Condensadores / Baterías
w
FV
x
i
+
b
i
401 nm ≤ λ ≤ 750 nm
Baterías fotoeléctricas
Separación de compuestos
químicos fotoactivos
Fotosíntesis química Plantas industriales (algas)
Síntesis en FVPGA HW program. por fotones
Calor (I.R)
46,77 %
Termo-Solar
Sales de almacenamiento
térmico
w
iR
x
i
+
b
i
751 nm ≤ λ ≤ 4000 nm
Termo-Eléctrico
minimizar las pérdidas por efecto Joule y el efecto de
        
optimizar la conversión fotoeléctrica, a partir de la
       
arreglo FV conecta n
p
módulos en configuración serie /

los módulos, por la naturaleza dinámica de este parámetro,
en función de las condiciones de los paneles fotovoltaicos
en un momento específico, se debe definir una matriz de

serán los responsables de definir la capacidad del
proceso de conversión de la radiación incidente a energía
eléctrica, lo cual está asociado al material fotoeléctrico, la
configuración de las celdas y propiedades de panel, a nivel
de tecnología [20].
Arreglo de Electrónica de Potencia, está compuesto
     
  
realimentación de control para MPPT y los módulos
inversores. Los módulos electrónicos, comprenden
los elementos de control e interruptores controlados,
definiendo los niveles de la salida del sistema, a valores
       

irradiancia, como se muestra en la Figura 1.
(a) Temperatura sobre P-V (b) Irradiancia sobre P-V
(c) Temperatura sobre I-V (d) Irradiancia sobre I-V
Figura 1. Efecto de condiciones ambientales en
conversión fotovoltaica [21]
En las curvas se observa la relación entre las
variables independientes: irradiancia y temperatura
respecto a los parámetros eléctricos del panel fotovoltaico:


Arreglos de Realimentación de Energía, estos
comprenden subsistemas de recuperación de energía
regenerativa, donde se plantea el modelo realimentado
para fotones no convertidos, aplicando tecnología
      
reflejada, recuperadores de calor residual, ventilización
forzada, refrigeración de paneles FV, para colectores
térmicos y conversión usando material termoeléctrico, a
   
La energía residual realimentada puede ser manejada
a través de conceptos como cosechamiento de energía
para alimentar dispositivos electrónicos, aplicable para
el suministro de la etapa de optimización, manteniendo
como criterio la sostenibilidad y bajo impacto ambiental.
        
coincide con la estructura de las etapas, donde se
presentan ponderación de aportes, almacenamiento
intermedio de energía y realimentación selectiva por
componentes de energía, dando lugar a la ecuación
descriptiva parametrizable.
Desarrollo Conceptual del Modelo LFSR para Siste-
mas Fotovoltaicos

       
como la optimización de parámetros (dependientes de
    

fotovoltaico, ángulo de incidencia óptima, MPPT y control

Rev. Téc. Ing. Univ. Zulia. Vol. 43, No. 3, 2020, Septiembre-Diciembre, pp. 114 - 176
125
MPPT para Sistemas Fotovoltaicos con FPGA
Figura 2.



del algoritmo de optimización, implementado sobre
        

x

UV

LV

IR
     
máxima potencia I
M
PP, voltaje MPP V
MPP
, temperatura del
panel T
p
y energía térmica ET, aplicando redes neuronales
     
del arreglo FV, para el control del sistema centralizado de

los paneles (ventilaci

concentración y MPPT aplicando modulación por ancho

En [19] se presenta el estudio detallado de
        
fotovoltaico. Los métodos varían en su complejidad,
sensores necesarios, velocidad de convergencia,
efectividad, etc. en relación a la dinámica del sistema,
       


Tabla 2. Estudio de Métodos MPPT
Tecnología de Control MPPT Descripción Técnica / Arreglo Fotovoltaico Concentrador Ref.
FPGA – Control Estudio de métodos usando FPGA - [1]
ANN
High Concentrator Photovoltaic (HCPV)
En el panel [22]
Algoritmo RTRL, O&P, Híbridos - [23-28]
Lógica Difusa Controlador de tres etapas / FPGA - [29-30]
Control Centralizado Módulos fotovoltaicos distribuidos - [31]
ANFIS – FPGA, recong. Sistemas neuro-adaptativos de inferencia difusa - [32-35]
Esta variación de carga es controlada por un

o reducir una tensión modificando el ciclo de trabajo y
        

una señal PWM, como señal de control del interruptor,
esta señal es sincronizada con el MPP, para la carga del
condensador.
Para el MPP, donde se relacionan parámetros
      
       
seguimiento [11], [19]. La reconfiguración dinámica
permite mejorar el rendimiento del arreglo fotovoltaico,
a través de la reducción de las pérdidas Joule. Es así como,
dependiendo de la condiciones ambientales, la conexión o
desconexión de paneles se haría mediante interruptores.
En la etapa de optimización uno de los puntos de interés es
la electrónica de potencia responsable del acoplamiento
del generador fotovoltaico a la carga. El convertidor
      

magnético MC, basado en inductancia variable.
Metodología
Para el diseño del modelo de optimización basado
       
tal sentido, se deben diseñar sistemas regenerativos,
realimentados con aporte en el presupuesto de energía,
    
dinámica y realimentación de subproductos o energía, en
correspondencia con el modelo circular. Para ello se realiza
en la primera etapa del procedimiento de investigación
un análisis cualitativo y cuantitativo de los métodos de
optimización por etapa, resumidos en la Tabla 3.
Rev. Téc. Ing. Univ. Zulia. Vol. 43, No. 3, 2020, Septiembre-Diciembre, pp. 114 - 176
126
Sandoval-Ruiz
Tabla 3.
Método de optimización
Descripción del Concepto Tecnológico
1 Etapa de Concentración (óptica) en el panel / etapa previa al panel fotovoltaico
Captación de radiación Elementos de geometría óptica [1],[36-37]
Concentración Solar Dopado y propiedades del material LSC [38-39]
Transmisión de Onda
Fibra Óptica, Stokes Shift (desplazamiento espectral)
Condensadores ópticos Guía de onda con reexión de luz en el concentrador
2
Etapa de Conversión (Fotovoltaica)
Tecnología de la celda fotovoltaica Selección de semiconductores, disposición del arreglo de células FV en el
panel o módulo solar, superposición de materiales para optimización de
eciencia, como en el caso de la conversión espectral [19]
Arreglo Tándem Capas superpuestas de convertidores [20]
Tecnología PERC Capa aislante para reexión de luz solar en el panel
Estructura portante de los
módulos
Para aumentar la eciencia, se puede optimizar la cantidad de energía que
llega al generador fotovoltaico utilizando como estructuras portantes de los
módulos de seguimiento solar, con o sin elementos concentradores.
Tecnología de almacenamiento Almacenamiento en Ultra-Condensadores (UC) para gestión de energía,
manejo de los MPP en sincronización con lógica de control.
Conguración del Arreglo La topología seleccionada para el arreglo y su conexión, de manera ja o
recongurable. Así como los avances en R-IEDs en ENRC [4-5]
Refrigeración del panel para
compensación de efecto temp.
TC Isc TC Voc Compensación de Efecto de
Temperatura
0,044 % / °C -0,31 % / °C w
IT
* TC
ISC
* ΔT ,w
VT
* TC
VOC
* ΔT
Redes Neuronales Articiales Implementación de redes neuronales para control de parámetros de
optimización y seguimiento [2-5]
3
Etapa de Adaptación de la Señal (Optimizador de Potencia)
Algoritmo de búsqueda MPPT Denición del ciclo de operación del interruptor del convertidor DC-DC
como elevador de voltaje, para establecer la impedancia MPP.
Optimizador de Potencia
(Control Digital MPPT)
Module Level Power Electronics (MLPE), detección del MPP en cada panel
FV, lo que aumenta la precisión del MPP y eciencia del arreglo.
Ultra-condensadores Almacenamiento transitorio [19]
4
Etapa del Inversor (Electrónica de Potencia)
Topología del Inversor
Inversores centralizado (arreglos de string), micro-inversores, optimizadores
de potencia por cada módulo fotovoltaico e inversor central
Semiconductores del Inversor Características de los interruptores de conmutación de la señal para la
conversor DC-AC
5
Etapa Mecánica (seguimiento de radiación solar)
Estimación por mecanismo Reporte de Eciencia de las conguraciones
Tipo de Arreglo Fijo Hor. Fijo Inc. HSAT VSAT HVSAT
Potencia produc. (MWh) 69867,45 74818,52 95713,07 91271,15 105874,89
Factor de la Planta (%) 20 21 27 26 30
Rev. Téc. Ing. Univ. Zulia. Vol. 43, No. 3, 2020, Septiembre-Diciembre, pp. 114 - 176

MPPT para Sistemas Fotovoltaicos con FPGA
A partir de las estimaciones obtenidas en el



se observa el aporte del seguimiento en la estación terrena,
a un costo de implementación del conjunto de motores de
accionamiento para el posicionamiento de los paneles en
relación al peso de la estructura móvil, en la matriz de n
p
        

      
direccione la radiación a cierta altura, con ángulo óptimo

      


Tabla 4.
Factor a optimizar
Técnicas de
Optimización
Descripción Técnica
Descripción Hardware por
componente
Contaminación panel FV Auto-limpieza Descargas eléctricas en el panel -- coef. de limpieza a 1.
Densidad Energética
Fotovoltaica Bifacial
Permite el ingreso de fotones al panel
a través de la supercie posterior,
donde se incrementa la eciencia y
densidad energética, modelados como
realimentación de energía reejada.
-- realimentación select
y(t) <= wrf and y(t-1)_f
-- realimentación por
componente de energía
-- w_r (wrf,wrt,wre)
Fotovoltaica replegable
Adaptación inteligente de la supercie a
HSP
If HSP < opt then
-- rutina; end if
Pérdidas por Temperatura
Recuperadores de calor
regenerativo
Integración de RCR y ventilación forzada
en la parte posterior del panel fotovoltaico
w_t*TC_Isc*dT*I_fv
-- realiment. Et(n-1)
y(t) <= wrt and y(t-1)_t
TiAE - Tiempo Amortización
Energética
Optimización de
Eciencia del Panel
Control Electrónico de la eciencia del
módulo FV
-- coef. de converción
y(t) <= wfv * S(t)
Concentración HCPV
Lentes formulados para máxima
concentración adaptables
-- coef. de concentrac.
y(t) <= wc * S(t)
Pérdidas de Potencia del Arreglo
FV
Optimizadores de Potencia
Micro-Inversores y Tecnologías de
optimizadores de potencia
-- aporte del MPPT
y(t) <= wp * Impp(t)
Captación de radiación
electromagnética (en este caso
solar)
Antenas Inteligentes
Beamforming &
Geometría Fractal
Redes neuronales aplicadas a la captación
eciente y seguimiento del patrón de
radiación, esquemas fractales con línea de
vista
-- algoritmo adaptativo
w(n+1)
<=w(n)+u*s(n)*e(n); --
seguimiento óptimo
y(n) <= w(n) * s(n);
Electrónica Fija (Obsolescencia
Programada)
Aplicación de un
optimizador de potencia
en VHDL
Actualización en el tiempo del HW del
optimizador, sobre tecnologías FPGA
-- Autogeneración
For i in 0 to m generate
-- ecuación descriptiva
Arreglos estáticos / Adaptación
Dinámica para máx. rendimiento
Redes neuronales en
diseño inteligente de SFV
y arreglo recongurable
Componente de una matriz recongurable
(multiplexado) en base a tecnología FPGA,
con arquitectura LFSR
If Impp < cond then
-- Asigna conguración
array_PV <= matriz (i);
end if;
Tecnología ja, con limitaciones
de reciclaje de los módulos FV
Módulos encapsulados
con capas internas
Fabricación y mecanizado 3D,
componentes reemplazables, exibles,
reciclaje de capas.
-- Hardware recongurable
El modelado se realizó a través de la descrip-
        
puede realizar ajustes en el modelo matemático, a través
 
del balance de energía:

w
c
 
de optimización (concentraci   
w
FV
el coeficiente de conversión del panel FV (propio de
b
s
habilitación selectiva, E
c
(n-1) la
energía realimentada: E
F
(n-1) energía reflejada y E
T
(n-
1) energía térmica residual, con w
RCR
como ganancia de
realimentación al sistema de conversión. Los efectos
térmicos pueden ser compensados: w
T
(1+TC
ISC
∙ΔT)∙I
FV
,
con
la corriente proporcional a la conversión de irradiancia,
siendo wT     
estos términos se identifican las señales de control de
actuadores (ver Tabla 5
Rev. Téc. Ing. Univ. Zulia. Vol. 43, No. 3, 2020, Septiembre-Diciembre, pp. 114 - 176

Sandoval-Ruiz
Tabla 5.
Aplicación Códigos de la Descripción del Hardware en VHDL
Control de Refrigeración
-- Activación de la bomba de circulación de refrigerante en el arreglo FV.
If t_ref < ANN_RCR then
Bomba <= ‘1’; else Bomba <= ‘0’; end if;
-- Implementación del recuperador de calor residual RCR.
Algoritmo MPPT
dI_mpp <= I_mpp – I_mpp1; dV_mpp <= V_mpp – V_mpp1 -- muestreo señal
if clk =’1’ and clk’event then I_mpp1 <= I_mpp; V_mpp1 <= V_mpp; end if;
P_mpp <= I_mpp * V_mpp; -- cálculo de potencia instantanea P_mpp > P_mpp1
-- Balance de potencia considerando efecto térmico:
P <= FF *((1+TC_ISC*ΔT) * I_FV)*((1+TC_VOC*ΔT) * V_OC);
if ANN_mppt = ‘1’ then s1<= ‘1’; else s1 <= ‘0’; end if;
Control Accionamientos
-- ANN_SG dene el sentido de giro del motor de paso de seguimiento solar mecánico.
If ANN_SGM1= ‘0’ then -- dene el sentido de giro del motor de paso M1
For i in 1 to 4 loop driver_m1 (i-1) <= ‘0’; driver_m1 (i) <= ‘1’ after 10ms; end loop;
else -- dene el sentido de giro contrario del motor de paso por secuencia de señal
For i in 4 downto 1 loop driver_m1 (i+1) <= ‘0’; driver_m1 (i) <= ‘1’ after 10ms;
end loop; end if; -- se denió con la ec. del modelo el accionamiento selectivo LFSR
-- ∑wi * xi --> GF(m): yn
<= w(i) and x(i) or w(i-1) and x(i)… xor y(i-1)
        
         

de cada panel del arreglo, señales de control de los
motores del mecanismo de seguimiento solar (altura,
ángulos de elevación y azimut, complementarios al

seleccionado el método de optimización por modelado
dinámico, se aplican las técnicas de descripción
       
optimización y la descripción de comportamiento en
        
la estructura matemática para las descripciones
      

Resultados y Discusión
      
     
de optimización se modeló el sistema de seguimiento
heliostático, con línea de vista hacia el arreglo FV, para
direccionamiento de la radiación, para una longitud
de onda selectiva y filtrar la radiación UV con el fin
de proteger la superficie de este tipo de ondas, lo cual
puede tener amplia aplicación en la protección de fauna,

parámetros óptimos de altura, concentración y ángulos de
        
Este arreglo inteligente, permiten simplificar el módulo de

Todo esto a fin de obtener máxima eficiencia:
en la etapa de concentración espectral de luz visible,
etapa de conversión, etapa de electrónica de potencia,
cosechamiento de energía y almacenamiento, de forma



y realimentación, así como una etapa de control híbrido
       
modelo. Así, se halla una ecuación generatriz para la


[41] y la instanciación de los componentes neuronales


técnica propuesta está basada en el modelo desarrollado
 
sobre el modelo de balance de energía.
  
-
cientes de optimización del modelo desarrollado. Los pa-


el sistema, los criterios de optimización ambientales y tar-
gets de seguimiento (en base a un conjunto de entrena-


Rev. Téc. Ing. Univ. Zulia. Vol. 43, No. 3, 2020, Septiembre-Diciembre, pp. 114 - 176
129
MPPT para Sistemas Fotovoltaicos con FPGA
Tabla 6. Características Técnicas del Modelo Optimizador
Características Técnicas Logros de Innovación Motivos para su implementación
Orientado a Hardware Sintetizable sobre tecnología FPGA Portabilidad del diseño
Modelo multi-etapa (parame-
trizable)
Se incluyen las variables de las diversas etapas
para el MPPT
Se denen coecientes de optimización en cada etapa
Ecuación generatriz Sistematización de la descripción Parametrizable para sistemas particulares
Conmutabilidad
Simplicación de componentes y elementos
actuadores
Manejo de términos por factor de incidencia sobre el
arreglo.
Escalabilidad
Capacidad para incluir módulos con la misma
estructura LFSR
Se pueden implementar optimizaciones at custom
Flexibilidad
Se describen componentes actualizables y re-
utilizables.
Aumento del tiempo de vigencia de los diseños en
hardware
Reconguración dinámica
Modular / diferencial para actualización de
etapas
Soporte de SFV distribuidos y extrapolación del mod-
elo
ANN-MPPT
Adaptación de coecientes del modelo (con-
siderando las curvas de eciencia del panel)
La alta demanda de cómputo del control en ERNC por
la dinámica del sistema, requiere la optimización del
algoritmo secuencial perturba y observa para MPPT
Correspondencia
Identicación de estructura LFSR en el SFV y optimizador neuronal, donde los pesos de la ANN tienen
signicado físico en los coecientes de optimización.
Tabla 7.
Códigos de la Descripción del Hardware ANN en VHDL Entrenamiento de la ANN
ANNF: For k in 1 to 3 generate -- generación las capas de la ANN
LAYER1: For i in 0 to np| if k= 1 generate -- generación capa L1
N1: neurona_layer1 port map (xn,w1(i),b1(i),s1(i)); -- instanciación n1
-- sn <= wi1*xi1 +…+ win*xin + bi;
end generate LAYER1;
yn <= s1(var_in) & … & s1(5) & s1(4) & s1(3) & s1(2) & s1(1) & s1(0);
LAYER2: For i in 1 to np | if k= 2 generate -- generación capa L2
N2: neurona_layer2 port map (sn,w2(i),b2(i),y2(i)); -- instanciación n2
end generate LAYER2;
ANN <= y2(señal_ctrl) &…& y2(2) & y2(1);
end generate ANNF;
type matriz_peso is array of (m downto 0) of
std_logic_vector (7 downto 0);
-- Adaptación de la matriz de pesos por
iteración:
if clk’event and clk =’1’ then
e(n) <= t(n) – y(n);
wi(n+1)
<= wi(n) + u* xi(n)*e(n);
Circuito
Slices 4-LUTs FF Factor ∙ Unidades_parametrizadas
ANN (var_in,np,señal_ctrl)
100 198 0 n_layer (neurona ∙ pesos_sinápticos ∙ m bits)
Algoritmo Adaptativo 80 148 48 (n1 ∙ var_in + n2 ∙ señal_ctrl) ∙ m bits
Expresión descriptiva:
Capas ANN / función Capa 2,3 / Optimización Capa 1 / Modelado del SFV
CS,np elementos del seguimiento centralizado sobre el conjunto de paneles n
p
Rev. Téc. Ing. Univ. Zulia. Vol. 43, No. 3, 2020, Septiembre-Diciembre, pp. 114 - 176
130
Sandoval-Ruiz


      
ha incorporado el arreglo óptico inteligente para el
aprovechamiento máximo de la radiación incidente S
x
, con
coeficientes wadap [42] control de temperatura y RCR
para recuperación de energía residual de los paneles:
Con w
CS
ganancia del seguidor (para los m
w
MPP
ganancia dinámica
del optimizador: MPPT, control de temperatura, etc., x(t)
irradiancia total, w
R
habilitador de realimentación de los
componentes de energía residual y(t-1) 
         
concatenada en su estructura:
      
       
      
     

por arrays
peso correspondiente o la selección ON/OFF, en el caso de

Figura 3.
concentración solar
     
    
      

       
     términos de

.
Tabla 8.
LFSR Capas de operación Almacenamiento Realimentación
Fractal
ANN
Neuro-Operadores TDL
y(t-1)
Óptica Ganancia Concentrador
Luminiscencia (Shift Stokes)
Reexión de fotones
Fotovoltaica Tándem PV
Shift corriente / Calor Residual
PERC / λ de irradiancia
Electrónica DSP / PWM Ultra-condensadores UC Recuperador Calor Reg.
Smart Grid IEDs- Convertidores Almacenamiento de Energía E. Reversible
Modelo
CSR
COD / RCR τ (retardo de radiación) Coecientes de optimización
La identificación de correspondencia entre los


el ajuste de la respuesta de eficiencia, MPPT del sistema

       

optimización remota del sistema fotovoltaico.

de los costos de la energía FV [43], resulta de interés la
optimización espectral de radiación directa, difusa y
reflejada [44] como aportes parciales en el modelo. Los
resultados alcanzados pueden ser extrapolados en en
       
      
sensibilización FV, arreglos ópticos, modelado térmico
    

híbridos de generación eléctrica [50], eficiencia energética
[51] y desarrollo de tecnologías de minimo impacto
ambiental [52-53].
Conclusiones
     
arreglos de concentración solar FV para MPPT, basada
      
       
        
     
        
     
      
módulos FV, realimentación de componentes de energía
residual, para elevar el rendimiento total, a través de la
Rev. Téc. Ing. Univ. Zulia. Vol. 43, No. 3, 2020, Septiembre-Diciembre, pp. 114 - 176
131
MPPT para Sistemas Fotovoltaicos con FPGA
contribución de cada etapa.
        
Fotovoltaica 2.0, en el marco de la actualización dinámica
      
     
     
ventajas en el rendimiento y flexibilidad, en comparación
       
procesos de actualización o migración.
Igualmente, el modelo de optimización
desarrollado presenta aportes significativos en la
identificación de los parámetros físicos del modelo,
almacenamiento y realimentación selectiva, dada su

el ajuste de los coeficientes del sistema aplicando
algoritmos adaptativos convencionales o diseños de
     
inteligente del aporte de energía solar, así como de
factores no contemplados inicialmente en la configuración

Observando los reportes de eficiencia del
mecanismo de seguimiento y combinación de métodos,
se planteó el direccionamiento de la energía solar
hacia el arreglo fotovoltaico fijo, en combinación con la
optimización. Así, los resultados alcanzados dan lugar a
nuevos espacios de desarrollo y técnicas competitivas en
aplicaciones de smart grid y centrales virtuales de energía.
     
conceptos de diseño de aspectos tales como factibilidad

del talento humano en tecnología de energías renovables,
tele-configuración, nuevas áreas de desarrollo sostenible,
eco-responsabilidad con la fauna, hábitat, materiales
y recursos naturales, aprovechamiento óptimo de
la infraestructura (para reconversión de unidades
     
      
actualización dinámica en el tiempo (reutilizabilidad y

del modelo desarrollado.

 -
     
     
-

[2]       
     


[3] -
    -
       

[4] -
temas distribuidos de energías renovables y smart
grids a través de dispositivos electrónicos inteligen-


5] 
       

[6]      
     
     
        

      
      
     
Fractales”. Ingeniería Investigación y Tecnología.

      
     
    

[9]      
      


[10]       

para un arreglo de antenas inteligentes”. Rev. Téc.

 
Economic Performance of Bifacial and Tracking

[12] 
optimización de sistemas fotovoltaicos”. Universidad,

       
        
     
vision via transfer learning”. Proceedings of the 2nd

        



Rev. Téc. Ing. Univ. Zulia. Vol. 43, No. 3, 2020, Septiembre-Diciembre, pp. 114 - 176
132
Sandoval-Ruiz
    

        
     

1214-1221.
 
concentrators employing double doped polymer
      

 

Tesis de Maestría, Centro de Investigación en óptica,

[19]          
  


[20]     
   

 
     
for Photovoltaic systems: Comparative study”.


[22] Almonacid, F., Fernández, E. F., Rodrigo, P., Pérez-
       

   

[23] Viloria-Porto, J., Robles-Algarín, C., Restrepo-Leal,

       

        
     
para optimizar el algoritmo de perturbación y
observación en el seguimiento del punto de máxima
potencia de un módulo fotovoltaico”. Universidad del

         
     
      
International Conference on Wireless Technologies,
      
1-5.
     
     
    

 

      


 
    
     
in photovoltaic system under partial shading

 

para sistemas fotovoltaicos usando lógica difusa.
        

 
     

      
1319.
            
centralized MPPT controller system for multiply
   
       

  

      


[33]       
solar de una planta fotovoltaica para maximizar
      

[34]        
de módulos fotovoltaicos y del control del MPPT”.

[35] 
de potencia activa y reactiva para inversores”.

[36] 
para las aplicaciones fotovoltaicas”. Universidad del

 
de dos técnicas para el transporte vertical de la luz
Rev. Téc. Ing. Univ. Zulia. Vol. 43, No. 3, 2020, Septiembre-Diciembre, pp. 114 - 176
133
MPPT para Sistemas Fotovoltaicos con FPGA

      
concentración, captación y guiado de radiación

[39] 


[40]      


    
xilinx.com/products/design-tools/ise-design-suite.
html.
       


       
    


effective-Investment.
        
de la radiación solar directa y difusa en la zona
de la costa venezolana a partir de variables


 
sistemas de conversión de energía eólica. Rev. Téc.

       
Eco-Productos de origen vegetal aplicando Control


      
unidimensional y transiente de una celda fotovoltaica

 
  Photovoltaic Cell Cooling as a Facilitator for
MPPT

          
            
    
  

       
     


       
      


      

        

  
del impacto de un techo verde sobre la escorrentía
urbana usando un modelo a escala. Rev. Téc. Ing.

REVISTA TECNICA
DE LA FACULTAD DE INGENIERIA
UNIVERSIDAD DEL ZULIA
www.luz.edu.ve
www.serbi.luz.edu.ve
www.produccioncientica.org
Esta revista fue editada en formato digital y publicada el
31 de Agosto de 2020, por el Fondo Editorial Serbiluz,
Universidad del Zulia. Maracaibo-Venezuela
Vol. 43. N°3, Septiembre - Diciembre 2020 pp. 114 - 176______